Rethinking Global Routing for Modern VLSI Design

Rethinking Global Routing for Modern VLSI Design PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages : 0

Get Book Here

Book Description
RETHINKING GLOBAL ROUTING FOR MODERN VLSI DESIGN: CONGESTION REDUCTION AND MULTI-OBJECTIVE OPTIMIZATION Hamid Shojaei Under the supervision of Professor Azadeh Davoodi At the University of Wisconsin-Madison The high volume and complexity of cells and interconnect structures are causing serious challenges to routability in modern VLSI design. Several new factors contribute to routing congestion including significantly-different wire size and spacing among the metal layers, sizes of inter-layer vias, various forms of routing blockages, local congestion due to pin density and wiring inside a global-cell, and virtual pins located at the higher metal layers. In addition, interconnects now play a significant role in impacting the performance metrics of a design including power, speed and area. Global routing, as the first stage in which the interconnects are planned, is now of significant importance in determining the performance metrics and the routability of the design. However, the standard model of global routing considers minimization of wirelength with a simplified model of routing resources which ignores these objectives and complicating factors. To address the above challenges, this dissertation has three contributions in rethinking global routing for modern VLSI design. First, we present a framework for congestion analysis for quick prediction of the locations of highly-utilized routing regions. The fast framework is suitable for integration in the design flow, for example as an integration within a routability-driven placement procedure. Second, we offer two contributions in order to estimate and manage the congestion caused by local nets which are ignored in a standard model of global routing. It allows optimizing congestion directly within global routing by treating global and detailed routing in a more holistic manner. In addition, many of the above-mentioned factors contributing to congestion are accounted for in our congestion analysis and optimization framework. Finally, we present a procedure for multi-objective global routing which is able to optimize multiple performance metrics beyond wirelength. The framework is a collaborative one which receives as input multiple global routing solutions created by single-objective procedures.

Rethinking Global Routing for Modern VLSI Design

Rethinking Global Routing for Modern VLSI Design PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages : 0

Get Book Here

Book Description
RETHINKING GLOBAL ROUTING FOR MODERN VLSI DESIGN: CONGESTION REDUCTION AND MULTI-OBJECTIVE OPTIMIZATION Hamid Shojaei Under the supervision of Professor Azadeh Davoodi At the University of Wisconsin-Madison The high volume and complexity of cells and interconnect structures are causing serious challenges to routability in modern VLSI design. Several new factors contribute to routing congestion including significantly-different wire size and spacing among the metal layers, sizes of inter-layer vias, various forms of routing blockages, local congestion due to pin density and wiring inside a global-cell, and virtual pins located at the higher metal layers. In addition, interconnects now play a significant role in impacting the performance metrics of a design including power, speed and area. Global routing, as the first stage in which the interconnects are planned, is now of significant importance in determining the performance metrics and the routability of the design. However, the standard model of global routing considers minimization of wirelength with a simplified model of routing resources which ignores these objectives and complicating factors. To address the above challenges, this dissertation has three contributions in rethinking global routing for modern VLSI design. First, we present a framework for congestion analysis for quick prediction of the locations of highly-utilized routing regions. The fast framework is suitable for integration in the design flow, for example as an integration within a routability-driven placement procedure. Second, we offer two contributions in order to estimate and manage the congestion caused by local nets which are ignored in a standard model of global routing. It allows optimizing congestion directly within global routing by treating global and detailed routing in a more holistic manner. In addition, many of the above-mentioned factors contributing to congestion are accounted for in our congestion analysis and optimization framework. Finally, we present a procedure for multi-objective global routing which is able to optimize multiple performance metrics beyond wirelength. The framework is a collaborative one which receives as input multiple global routing solutions created by single-objective procedures.

Handling the Complexity of Routing Problem in Modern VLSI Design

Handling the Complexity of Routing Problem in Modern VLSI Design PDF Author: Yanheng Zhang
Publisher:
ISBN:
Category :
Languages : en
Pages : 110

Get Book Here

Book Description


High Performance VLSI Global Routing

High Performance VLSI Global Routing PDF Author: Patrick H. Madden
Publisher:
ISBN:
Category :
Languages : en
Pages : 404

Get Book Here

Book Description


Modern VLSI Design

Modern VLSI Design PDF Author: Wayne Wolf
Publisher: Pearson Education
ISBN: 0132441845
Category : Technology & Engineering
Languages : en
Pages : 703

Get Book Here

Book Description
For Electrical Engineering and Computer Engineering courses that cover the design and technology of very large scale integrated (VLSI) circuits and systems. May also be used as a VLSI reference for professional VLSI design engineers, VLSI design managers, and VLSI CAD engineers. Modern VSLI Design provides a comprehensive “bottom-up” guide to the design of VSLI systems, from the physical design of circuits through system architecture with focus on the latest solution for system-on-chip (SOC) design. Because VSLI system designers face a variety of challenges that include high performance, interconnect delays, low power, low cost, and fast design turnaround time, successful designers must understand the entire design process. The Third Edition also provides a much more thorough discussion of hardware description languages, with introduction to both Verilog and VHDL. For that reason, this book presents the entire VSLI design process in a single volume.

Global Routing in VLSI

Global Routing in VLSI PDF Author: Chris Dickson
Publisher:
ISBN:
Category :
Languages : en
Pages : 64

Get Book Here

Book Description


Modern Processor Design

Modern Processor Design PDF Author: John Paul Shen
Publisher: Waveland Press
ISBN: 147861076X
Category : Computers
Languages : en
Pages : 657

Get Book Here

Book Description
Conceptual and precise, Modern Processor Design brings together numerous microarchitectural techniques in a clear, understandable framework that is easily accessible to both graduate and undergraduate students. Complex practices are distilled into foundational principles to reveal the authors insights and hands-on experience in the effective design of contemporary high-performance micro-processors for mobile, desktop, and server markets. Key theoretical and foundational principles are presented in a systematic way to ensure comprehension of important implementation issues. The text presents fundamental concepts and foundational techniques such as processor design, pipelined processors, memory and I/O systems, and especially superscalar organization and implementations. Two case studies and an extensive survey of actual commercial superscalar processors reveal real-world developments in processor design and performance. A thorough overview of advanced instruction flow techniques, including developments in advanced branch predictors, is incorporated. Each chapter concludes with homework problems that will institute the groundwork for emerging techniques in the field and an introduction to multiprocessor systems.

Carbon Nanotube and Graphene Nanoribbon Interconnects

Carbon Nanotube and Graphene Nanoribbon Interconnects PDF Author: Debaprasad Das
Publisher: CRC Press
ISBN: 1482239507
Category : Technology & Engineering
Languages : en
Pages : 197

Get Book Here

Book Description
An Alternative to Copper-Based Interconnect Technology With an increase in demand for more circuit components on a single chip, there is a growing need for nanoelectronic devices and their interconnects (a physical connecting medium made of thin metal films between several electrical nodes in a semiconducting chip that transmit signals from one point to another without any distortion). Carbon Nanotube and Graphene Nanoribbon Interconnects explores two new important carbon nanomaterials, carbon nanotube (CNT) and graphene nanoribbon (GNR), and compares them with that of copper-based interconnects. These nanomaterials show almost 1,000 times more current-carrying capacity and significantly higher mean free path than copper. Due to their remarkable properties, CNT and GNR could soon replace traditional copper interconnects. Dedicated to proving their benefits, this book covers the basic theory of CNT and GNR, and provides a comprehensive analysis of the CNT- and GNR-based VLSI interconnects at nanometric dimensions. Explore the Potential Applications of CNT and Graphene for VLSI Circuits The book starts off with a brief introduction of carbon nanomaterials, discusses the latest research, and details the modeling and analysis of CNT and GNR interconnects. It also describes the electrical, thermal, and mechanical properties, and structural behavior of these materials. In addition, it chronicles the progression of these fundamental properties, explores possible engineering applications and growth technologies, and considers applications for CNT and GNR apart from their use in VLSI circuits. Comprising eight chapters this text: Covers the basics of carbon nanotube and graphene nanoribbon Discusses the growth and characterization of carbon nanotube and graphene nanoribbon Presents the modeling of CNT and GNR as future VLSI interconnects Examines the applicability of CNT and GNR in terms of several analysis works Addresses the timing and frequency response of the CNT and GNR interconnects Explores the signal integrity analysis for CNT and GNR interconnects Models and analyzes the applicability of CNT and GNR as power interconnects Considers the future scope of CNT and GNR Beneficial to VLSI designers working in this area, Carbon Nanotube and Graphene Nanoribbon Interconnects provides a complete understanding of carbon-based materials and interconnect technology, and equips the reader with sufficient knowledge about the future scope of research and development for this emerging topic.

Digital Integrated Circuit Design

Digital Integrated Circuit Design PDF Author: Hubert Kaeslin
Publisher: Cambridge University Press
ISBN: 0521882672
Category : Technology & Engineering
Languages : en
Pages : 878

Get Book Here

Book Description
This practical, tool-independent guide to designing digital circuits takes a unique, top-down approach, reflecting the nature of the design process in industry. Starting with architecture design, the book comprehensively explains the why and how of digital circuit design, using the physics designers need to know, and no more.

Practical Problems in VLSI Physical Design Automation

Practical Problems in VLSI Physical Design Automation PDF Author: Sung Kyu Lim
Publisher: Springer Science & Business Media
ISBN: 1402066279
Category : Technology & Engineering
Languages : en
Pages : 292

Get Book Here

Book Description
Practical Problems in VLSI Physical Design Automation contains problems and solutions related to various well-known algorithms used in VLSI physical design automation. Dr. Lim believes that the best way to learn new algorithms is to walk through a small example by hand. This knowledge will greatly help understand, analyze, and improve some of the well-known algorithms. The author has designed and taught a graduate-level course on physical CAD for VLSI at Georgia Tech. Over the years he has written his homework with such a focus and has maintained typeset version of the solutions.

Algorithms for VLSI Physical Design Automation

Algorithms for VLSI Physical Design Automation PDF Author: Naveed A. Sherwani
Publisher: Springer Science & Business Media
ISBN: 1461523516
Category : Technology & Engineering
Languages : en
Pages : 554

Get Book Here

Book Description
Algorithms for VLSI Physical Design Automation, Second Edition is a core reference text for graduate students and CAD professionals. Based on the very successful First Edition, it provides a comprehensive treatment of the principles and algorithms of VLSI physical design, presenting the concepts and algorithms in an intuitive manner. Each chapter contains 3-4 algorithms that are discussed in detail. Additional algorithms are presented in a somewhat shorter format. References to advanced algorithms are presented at the end of each chapter. Algorithms for VLSI Physical Design Automation covers all aspects of physical design. In 1992, when the First Edition was published, the largest available microprocessor had one million transistors and was fabricated using three metal layers. Now we process with six metal layers, fabricating 15 million transistors on a chip. Designs are moving to the 500-700 MHz frequency goal. These stunning developments have significantly altered the VLSI field: over-the-cell routing and early floorplanning have come to occupy a central place in the physical design flow. This Second Edition introduces a realistic picture to the reader, exposing the concerns facing the VLSI industry, while maintaining the theoretical flavor of the First Edition. New material has been added to all chapters, new sections have been added to most chapters, and a few chapters have been completely rewritten. The textual material is supplemented and clarified by many helpful figures. Audience: An invaluable reference for professionals in layout, design automation and physical design.