Zirconium-doped Tantalum Oxide High-k Gate Dielectric Films

Zirconium-doped Tantalum Oxide High-k Gate Dielectric Films PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages :

Get Book Here

Book Description
A new high-k dielectric material, i.e., zirconium-doped tantalum oxide (Zr-doped TaOx), in the form of a sputter-deposited thin film with a thickness range of 5-100 nm, has been studied. Important applications of this new dielectric material include the gate dielectric layer for the next generation metal-oxide-semiconductor field effect transistor (MOSFET). Due to the aggressive device scaling in ultra-large-scale integrated circuitry (ULSI), the ultra-thin conventional gate oxide (SiO2) is unacceptable for many practical reasons. By replacing the SiO2 layer with a high dielectric constant material (high-k), many of the problems can be solved. In this study, a novel high-k dielectric thin film, i.e., TaO[subscript]x doped with Zr, was deposited and studied. The film's electrical, chemical, and structural properties were investigated experimentally. The Zr dopant concentration and the thermal treatment condition were studied with respect to gas composition, pressure, temperature, and annealing time. Interface layer formation and properties were studied with or without an inserted thin tantalum nitride (TaN[subscript]x) layer. The gate electrode material influence on the dielectric properties was also investigated. Four types of gate materials, i.e., aluminum (Al), molybdenum (Mo), molybdenum nitride (MoN), and tungsten nitride (WN), were used in this study. The films were analyzed with ESCA, XRD, SIMS, and TEM. Films were made into MOS capacitors and characterized using I-V and C-V curves. Many promising results were obtained using this kind of high-k film. It is potentially applicable to future MOS devices.

Zirconium-doped Tantalum Oxide High-k Gate Dielectric Films

Zirconium-doped Tantalum Oxide High-k Gate Dielectric Films PDF Author:
Publisher:
ISBN:
Category :
Languages : en
Pages :

Get Book Here

Book Description
A new high-k dielectric material, i.e., zirconium-doped tantalum oxide (Zr-doped TaOx), in the form of a sputter-deposited thin film with a thickness range of 5-100 nm, has been studied. Important applications of this new dielectric material include the gate dielectric layer for the next generation metal-oxide-semiconductor field effect transistor (MOSFET). Due to the aggressive device scaling in ultra-large-scale integrated circuitry (ULSI), the ultra-thin conventional gate oxide (SiO2) is unacceptable for many practical reasons. By replacing the SiO2 layer with a high dielectric constant material (high-k), many of the problems can be solved. In this study, a novel high-k dielectric thin film, i.e., TaO[subscript]x doped with Zr, was deposited and studied. The film's electrical, chemical, and structural properties were investigated experimentally. The Zr dopant concentration and the thermal treatment condition were studied with respect to gas composition, pressure, temperature, and annealing time. Interface layer formation and properties were studied with or without an inserted thin tantalum nitride (TaN[subscript]x) layer. The gate electrode material influence on the dielectric properties was also investigated. Four types of gate materials, i.e., aluminum (Al), molybdenum (Mo), molybdenum nitride (MoN), and tungsten nitride (WN), were used in this study. The films were analyzed with ESCA, XRD, SIMS, and TEM. Films were made into MOS capacitors and characterized using I-V and C-V curves. Many promising results were obtained using this kind of high-k film. It is potentially applicable to future MOS devices.

Zirconium Doped Tantalum Oxide High-k Dielectric Films for MOS Devices

Zirconium Doped Tantalum Oxide High-k Dielectric Films for MOS Devices PDF Author: S.V. Jagadeesh Chandra
Publisher:
ISBN: 9783330346840
Category :
Languages : en
Pages :

Get Book Here

Book Description


Hafnium-doped Tantalum Oxide High-k Gate Dielectric Films for Future CMOS Technology

Hafnium-doped Tantalum Oxide High-k Gate Dielectric Films for Future CMOS Technology PDF Author: Jiang Lu
Publisher:
ISBN:
Category :
Languages : en
Pages :

Get Book Here

Book Description
A novel high-k gate dielectric material, i.e., hafnium-doped tantalum oxide (Hf-dopedTaOx), has been studied for the application of the future generation metal-oxide semiconductor field effect transistor (MOSFET). The film's electrical, chemical, and structural properties were investigated experimentally. The incorporation of Hf into TaOx impacted the electrical properties. The doping process improved the effective dielectric constant, reduced the fixed charge density, and increased the dielectric strength. The leakage current density also decreased with the Hf doping concentration. MOS capacitors with sub-2.0 nm equivalent oxide thickness (EOT) have been achieved with the lightly Hf-doped TaOx. The low leakage currents and high dielectric constants of the doped films were explained by their compositions and bond structures. The Hf-doped TaOx film is a potential high-k gate dielectric for future MOS transistors. A 5 Å tantalum nitride (TaNx) interface layer has been inserted between the Hf-doped TaOx films and the Si substrate to engineer the high-k/Si interface layer formation and properties. The electrical characterization result shows that the insertion of a 5 Å TaNx between the doped TaOx films and the Si substrate decreased the film's leakage current density and improved the effective dielectric constant (keffective) value. The improvement of these dielectric properties can be attributed to the formation of the TaOxNy interfacial layer after high temperature O2 annealing. The main drawback of the TaNx interface layer is the high interface density of states and hysteresis, which needs to be decreased. Advanced metal nitride gate electrodes, e.g., tantalum nitride, molybdenum nitride, and tungsten nitride, were investigated as the gate electrodes for atomic layer deposition (ALD) HfO2 high-k dielectric material. Their physical and electrical properties were affected by the post metallization annealing (PMA) treatment conditions. Work functions of these three gate electrodes are suitable for NMOS applications after 800°C PMA. Metal nitrides can be used as the gate electrode materials for the HfO2 high-k film. The novel high-k gate stack structures studied in this study are promising candidates to replace the traditional poly-Si-SiO2 gate stack structure for the future CMOS technology node.

Physics and Technology of High-k Gate Dielectrics I

Physics and Technology of High-k Gate Dielectrics I PDF Author: Samares Kar
Publisher:
ISBN:
Category : Science
Languages : en
Pages : 330

Get Book Here

Book Description


Doped Tantalum Oxide High K Dielectric Thin Films

Doped Tantalum Oxide High K Dielectric Thin Films PDF Author: Joseph Patrick Donnelly
Publisher:
ISBN:
Category :
Languages : en
Pages : 134

Get Book Here

Book Description


Physics and Technology of High-k Gate Dielectrics II

Physics and Technology of High-k Gate Dielectrics II PDF Author: Samares Kar
Publisher: The Electrochemical Society
ISBN: 9781566774055
Category : Science
Languages : en
Pages : 512

Get Book Here

Book Description
"This volume is the proceedings of The Second International Symposium on High Dielectric Constant Materials: Materials Science, Processing, Reliability, and Manufacturing Issues ... and was held during [the] 204th Meeting [of the Electrochemical Society] ..."--P. v.

High k Gate Dielectrics

High k Gate Dielectrics PDF Author: Michel Houssa
Publisher: CRC Press
ISBN: 1000687244
Category : Science
Languages : en
Pages : 460

Get Book Here

Book Description
The drive toward smaller and smaller electronic componentry has huge implications for the materials currently being used. As quantum mechanical effects begin to dominate, conventional materials will be unable to function at scales much smaller than those in current use. For this reason, new materials with higher electrical permittivity will be requ

Physics and Technology of High-k Gate Dielectrics 4

Physics and Technology of High-k Gate Dielectrics 4 PDF Author: Samares Kar
Publisher: The Electrochemical Society
ISBN: 1566775035
Category : Dielectrics
Languages : en
Pages : 565

Get Book Here

Book Description
This issue covers, in detail, all aspects of the physics and the technology of high dielectric constant gate stacks, including high mobility substrates, high dielectric constant materials, processing, metals for gate electrodes, interfaces, physical, chemical, and electrical characterization, gate stack reliability, and DRAM and non-volatile memories.

High-k Gate Dielectrics for CMOS Technology

High-k Gate Dielectrics for CMOS Technology PDF Author: Gang He
Publisher: John Wiley & Sons
ISBN: 3527646361
Category : Technology & Engineering
Languages : en
Pages : 560

Get Book Here

Book Description
A state-of-the-art overview of high-k dielectric materials for advanced field-effect transistors, from both a fundamental and a technological viewpoint, summarizing the latest research results and development solutions. As such, the book clearly discusses the advantages of these materials over conventional materials and also addresses the issues that accompany their integration into existing production technologies. Aimed at academia and industry alike, this monograph combines introductory parts for newcomers to the field as well as advanced sections with directly applicable solutions for experienced researchers and developers in materials science, physics and electrical engineering.

Chemical Vapor Deposition and Characterization of Zirconia Films for High-k Dielectric Applications

Chemical Vapor Deposition and Characterization of Zirconia Films for High-k Dielectric Applications PDF Author: Zhe Song
Publisher:
ISBN:
Category : Dielectric films
Languages : en
Pages : 0

Get Book Here

Book Description
Due to the aggressive dimensional scaling of metal-oxide-semiconductor-field-effect-transistors (MOSFETs), direct tunneling current across the SiO2 gate dielectric layer has become a significant problem. High-k dielectric materials, such as ZrO2, HfO2, are expected to replace SiO2 as the gate dielectric layers to minimize direct tunneling currents. Development of the deposition processes and the characterization of high-k films have become significant challenges for the semiconductor industry. Based on multi-sample variable-angle spectroscopic ellipsometery (MS-VASE), we first developed a methodology to characterize ZrO2 films deposited on silicon. Results showed that proper modeling the optical properties of the interfacial layer is the key to accurate characterization. Using a stack model, consisting of an effective medium approximation (EMA) surface-roughness layer, a Tauc-Lorentz (TL) layer to represent the ZrO2 layer, and a second TL layer to represent the interfacial layer, we accurately extracted both thicknesses and optical constants of layers. The extracted surface-roughness and thickness values were confirmed by atomic force microscopy (AFM) and transmission electron microscopy (TEM) results. The following chapters cover studies of the initial-stage deposition of ZrO2 films from zirconium t-butoxide (ZTB) on both native silicon oxide and H-terminated silicon (H-Si) surfaces. In-situ SE was used to study the deposition process in real time. AFM, TEM, time of flight medium back scattering (ToF MEBS), and angle resolved X-ray photoelectron spectroscopy (ARXPS) were used to investigate the properties of deposited films. We discovered that film properties are affected by the nucleation and coalescence processes on different surfaces. A 3-dimensional nucleation process is predominant on H-Si surfaces due to the lack of reactive surface hydroxyl groups and high surface diffusivity of ZTB molecules. At temperatures about 350 °C, a layer-by-layer deposition process on native oxide surfaces leads to smooth, uniform ZrO2 films. An interfacial layer between the silicon substrate and ZrO2 is formed through two independent mechanisms: reaction between the starting surfaces and ZTB or its decomposition intermediates, and the diffusion of reactive oxidants through the forming ZrO2 interfacial stack layer and their reaction with the substrate.