Etude de l'influence de la température sur les mécanismes de gravure du tungstène et du silicium en plasma SF6 et SF6/O2 dans un réacteur hélicon

Etude de l'influence de la température sur les mécanismes de gravure du tungstène et du silicium en plasma SF6 et SF6/O2 dans un réacteur hélicon PDF Author: Richard Petri
Publisher:
ISBN:
Category :
Languages : fr
Pages : 169

Get Book Here

Book Description
DES 1987, LE TUNGSTENE A ETE UTILISE COMME METAL D'INTERCONNEXION DANS LES FILIERES 1 M. LE PROBLEME CRUCIAL DE LA GRAVURE DE CE MATERIAU EST LE CONTROLE DE L'ANISOTROPIE (FLANCS VERTICAUX). CE PARAMETRE EST DEVENU CRITIQUE POUR LES FILIERES 0,7 M. AFIN DE FOURNIR UN PROCEDE COMPATIBLE AVEC LE CAHIER DES CHARGES DES FILIERES 0,5 ET 0,35 M (ET, NOUS L'ESPERONS, AU-DELA), NOUS AVONS ETUDIE LA GRAVURE DU TUNGSTENE EN PLASMA SF#6, A BASSE TEMPERATURE DE SURFACE, DE MEME QUE L'UTILISATION DE REACTEURS BASSE-PRESSION, HAUTE DENSITE ELECTRONIQUE, ET A POLARISATION INDEPENDANTE. NOTRE TRAVAIL A CONSISTE D'ABORD EN UNE CARACTERISATION DU PLASMA UTILISE, ET DES SURFACES APRES GRAVURE. NOUS AVONS RECHERCHE UNE CORRELATION ENTRE CES ETUDES ET LES CINETIQUES DE GRAVURE MESUREES EXPERIMENTALEMENT. NOUS AVONS MONTRE QUE LA GRAVURE S'OPERE PAR LA FORMATION D'UNE COUCHE REACTIVE EN SURFACE, PUIS PAR SA DESTRUCTION (PAR PULVERISATION) SOUS FAISCEAU D'IONS. CES RESULTATS EXPERIMENTAUX, TANT QUE POUR LE TUNGSTENE QUE POUR LE SILICIUM, SONT EN BON ACCORD AVEC UN MODELE DECRIVANT CES PHENOMENES. LE ROLE PARTICULIER DE LA TEMPERATURE A ETE MIS EN EVIDENCE DANS LES MECANISMES DE GRAVURE: LE GEL DE LA DESORPTION SPONTANEE PERMET D'OBTENIR UNE PARFAITE ANISOTROPIE, CE QUI, SUR UN PLAN TECHNOLOGIQUE, REPRESENTE UN INTERET CONSIDERABLE

Etude de l'influence de la température sur les mécanismes de gravure du tungstène et du silicium en plasma SF6 et SF6/O2 dans un réacteur hélicon

Etude de l'influence de la température sur les mécanismes de gravure du tungstène et du silicium en plasma SF6 et SF6/O2 dans un réacteur hélicon PDF Author: Richard Petri
Publisher:
ISBN:
Category :
Languages : fr
Pages : 169

Get Book Here

Book Description
DES 1987, LE TUNGSTENE A ETE UTILISE COMME METAL D'INTERCONNEXION DANS LES FILIERES 1 M. LE PROBLEME CRUCIAL DE LA GRAVURE DE CE MATERIAU EST LE CONTROLE DE L'ANISOTROPIE (FLANCS VERTICAUX). CE PARAMETRE EST DEVENU CRITIQUE POUR LES FILIERES 0,7 M. AFIN DE FOURNIR UN PROCEDE COMPATIBLE AVEC LE CAHIER DES CHARGES DES FILIERES 0,5 ET 0,35 M (ET, NOUS L'ESPERONS, AU-DELA), NOUS AVONS ETUDIE LA GRAVURE DU TUNGSTENE EN PLASMA SF#6, A BASSE TEMPERATURE DE SURFACE, DE MEME QUE L'UTILISATION DE REACTEURS BASSE-PRESSION, HAUTE DENSITE ELECTRONIQUE, ET A POLARISATION INDEPENDANTE. NOTRE TRAVAIL A CONSISTE D'ABORD EN UNE CARACTERISATION DU PLASMA UTILISE, ET DES SURFACES APRES GRAVURE. NOUS AVONS RECHERCHE UNE CORRELATION ENTRE CES ETUDES ET LES CINETIQUES DE GRAVURE MESUREES EXPERIMENTALEMENT. NOUS AVONS MONTRE QUE LA GRAVURE S'OPERE PAR LA FORMATION D'UNE COUCHE REACTIVE EN SURFACE, PUIS PAR SA DESTRUCTION (PAR PULVERISATION) SOUS FAISCEAU D'IONS. CES RESULTATS EXPERIMENTAUX, TANT QUE POUR LE TUNGSTENE QUE POUR LE SILICIUM, SONT EN BON ACCORD AVEC UN MODELE DECRIVANT CES PHENOMENES. LE ROLE PARTICULIER DE LA TEMPERATURE A ETE MIS EN EVIDENCE DANS LES MECANISMES DE GRAVURE: LE GEL DE LA DESORPTION SPONTANEE PERMET D'OBTENIR UNE PARFAITE ANISOTROPIE, CE QUI, SUR UN PLAN TECHNOLOGIQUE, REPRESENTE UN INTERET CONSIDERABLE

Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium

Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium PDF Author: Xavier Mellhaoui
Publisher:
ISBN:
Category :
Languages : en
Pages : 18

Get Book Here

Book Description
Dans l’industrie de la microtechnologie, la gravure profonde du silicium permet l’obtention de structures à fort rapport d’aspect (MEMS, MOEMS, vias, caissons d’isolation...). La cryogravure est l’une des voies pour réaliser ces structures. L’objet de cette thèse est l’étude des mécanismes de formation de la couche de passivation SiOxFy dans le procédé cryogénique de gravure par plasma SF6/O2 du silicium. Cette couche ne se forme uniquement qu’à basse température (~ -100°C). Elle désorbe lors de la remontée en température à l’ambiant du substrat en libérant des espèces analysables par spectrométrie de masse. La principale espèce détectée est le produit de gravure SiF4. En testant des plasmas de construction de la couche avec le SiF4, nous avons trouvé deux mécanismes possibles de formation de la couche SiOxFy. Afin de compléter l’étude, nous avons installé un ellipsomètre spectroscopique in-situ afin de caractériser l’interaction de différents plasmas (Ar, SF6, O2, SF6/O2, SiF4/O2) avec le silicium montrant ainsi l’effet de la température du substrat et du flux d’ions. En régime de surpassivation, les MicroStructures Colonnaires, défaut du procédé de cryogravure, apparaissent au fond du motif. Une étude paramétrique de ces structures est effectuée en variant les conditions du plasma (température, Vbias, puissance de la source, pression et temps).

ETUDE DES MECANISMES DE GRAVURE DU SI A BASSE TEMPERATURE PAR UN FAISCEAU PLASMA DE SF#6 EXTRAIT D'UNE SOURCE D'IONS A DECHARGE MICRO-ONDE

ETUDE DES MECANISMES DE GRAVURE DU SI A BASSE TEMPERATURE PAR UN FAISCEAU PLASMA DE SF#6 EXTRAIT D'UNE SOURCE D'IONS A DECHARGE MICRO-ONDE PDF Author: THIERRY.. CHEVOLLEAU
Publisher:
ISBN:
Category :
Languages : fr
Pages : 226

Get Book Here

Book Description
CE MEMOIRE PRESENTE UNE ETUDE DES MECANISMES DE GRAVURE DU SILICIUM A BASSE TEMPERATURE PAR UN FAISCEAU PLASMA DE SF#6 EXTRAIT D'UNE SOURCE D'IONS A DECHARGE MICRO-ONDE. L'APPROCHE EXPERIMENTALE CHOISIE REPRODUIT L'INTERACTION D'UN PLASMA HAUTE DENSITE AVEC UNE SURFACE REFROIDIE. LES PROCEDES PLASMA DE HAUTE DENSITE ET LE REFROIDISSEMENT DU SUBSTRAT SONT DES MOYENS RECONNUS POUR ACCROITRE LES PERFORMANCES DU PROCESSUS DE GRAVURE (ANISOTROPIE, SELECTIVITE ET VITESSE DE GRAVURE). A PARTIR D'UNE CARACTERISATION DETAILLEE DE LA SOURCE D'IONS, UNE PROCEDURE EXPERIMENTALE A ETE MISE EN OEUVRE POUR CONTROLER DE MANIERE INDEPENDANTE L'ENERGIE (100-400 EV) DES IONS ET LA DENSITE DE COURANT (0,1-5 MA/CM#2) ARRIVANT SUR L'ECHANTILLON. UN DIAGNOSTIC COMPLET DU FAISCEAU PLASMA (IONS ET NEUTRES) EXTRAIT DE LA SOURCE A ETE EFFECTUE PAR SPECTROMETRIE DE MASSE. LES RESULTATS OBTENUS ONT MIS EN EVIDENCE POUR LE FAISCEAU D'IONS LA PRESENCE DES ESPECES F#+, S#+, SF#+, SF#+#2, SF#+#3, SF#+#5 ET POUR LE FAISCEAU DE NEUTRES LA PRESENCE DES ESPECES F, SF#2, SF#4 ET SF#6. L'INFLUENCE DES CONDITIONS DE PRESSION ET DE PUISSANCE DE LA DECHARGE SUR LA POPULATION RELATIVE DE CES DIFFERENTES ESPECES IONIQUES ET NEUTRES A ETE ETUDIEE. LA MESURE DE LA VITESSE DE GRAVURE DU SILICIUM ET L'ANALYSE XPS IN SITU DE LA SURFACE GRAVEE ONT ETE REALISEES EN FAISANT VARIER L'ENERGIE DES IONS, LEUR DENSITE DE COURANT ET LA TEMPERATURE DE L'ECHANTILLON (300 K-120 K). L'ETUDE DE LA SURFACE A NOTAMMENT REVELE LA PRESENCE DES GROUPEMENTS SF#X, SIF#X ET SIS#X DONT LA PROPORTION DANS LA COUCHE D'INTERACTION VARIE SELON LES CONDITIONS DE GRAVURE. L'ANALYSE DE L'ENSEMBLE DE CES RESULTATS A PERMIS DE METTRE EN EVIDENCE LE ROLE IMPORTANT DE L'ADSORPTION DES NEUTRES, DE LA DESORPTION STIMULEE PAR LES IONS ET DES REACTIONS INDUITES PAR LES IONS DANS LES PRINCIPAUX MECANISMES DE GRAVURE QUE SONT LA GRAVURE SPONTANEE, LA GRAVURE CHIMIQUE ASSISTEE PAR LES IONS ET LA PULVERISATION PHYSIQUE.

Gravure profonde cryogénique du silicium dans un réacteur ICP utilisant une chimie SF6/O2

Gravure profonde cryogénique du silicium dans un réacteur ICP utilisant une chimie SF6/O2 PDF Author: Mohamed Boufnichel
Publisher:
ISBN:
Category :
Languages : fr
Pages : 260

Get Book Here

Book Description
Cette thèse concerne d'une part, l'étude des mécanismes de gravure et de passivation ayant lieu lors de la gravure du silicium (massif et SOI) par un plasma SF6/O2 et d'autre part, la mise au point d'un procédé de gravure profonde optimisé sur un réacteur industriel ICP. L'objectif est de graver des tranchées à fort facteur d'aspect (ouverture: 2 à 4 æm et profondeur ? 60 æm) en un temps compétitif (vitesse de gravure ? 5æm/min) en vue de réaliser des caissons d'isolation par tranchée sur silicium et sur SOI. La technique de gravure choisie est la cryogénie. Très peu utilisée pour l'instant comparé au procédé bosch mais qui semble néanmoins prometteuse pour l'avenir. La première phase de ce travail a consisté à caractériser le plasma électriquement et chimiquement par sonde de Langmuir et Spectroscopie d'Emission Optique. Les évolutions des caractéristiques du plasma en fonction des paramètres de procédé ont été corrélées à celles des profils de gravure. Il a ainsi été possible d'expliquer le comportement de certains mécanismes impliqués dans la gravure du silicium et de les maîtriser afin d'atteindre l'objectif fixé par le cahier des charges. La seconde phase de l'étude concerne la mise au point d'un procédé de gravure optimisé sur substrat silicium et transposable ensuite sur des substrats SOI. Pour cela, il a fallu étudier et trouver la cause de certains effets négatifs comme le bowing et le notching. Finalement, la cryogénie s'avère avantageuse en tous points (pour ces objectifs précis de tranchée) par rapport au procédé à température ambiante et le remplissage des profils par LPCVD se fait sans difficultés. Néanmoins, un très bon contrôle de la température du substrat s'avère indispensable pour garantir une bonne uniformité des profils de gravure. Ce point (facteur limitant) qui concerne le porte-substrat est en cours d'étude par l'équipementier : une fois maîtrisée, la cryogénie deviendra un atout majeur en gravure sèche.

Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium

Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium PDF Author: Corinne Duluard
Publisher:
ISBN:
Category :
Languages : en
Pages : 0

Get Book Here

Book Description
La gravure plasma de structures à fort rapport d'aspect dans le silicium est une étape clé dans la fabrication de microsystèmes et de composants de microélectronique de puissance. L'objectif de ce travail est de développer un procédé de gravure profonde du silicium, qui fonctionne à plus haute température de substrat que le procédé cryogénique en chimie plasma SF6/O2 et qui présente une meilleure stabilité en température et en concentration de gaz passivant(s). Dans ce but, de nouvelles voies de passivation non polymérisante ont été explorées. Nous avons évalué les possibilités de passivation par l'apport de SO2 en remplacement de O2. A température cryogénique, les propriétés de gravure sont semblables en plasma SF6/SO2 et SF6/O2 ; elles sont corrélées aux densités de neutres mesurées par spectrométrie de masse et actinométrie. La majeure partie des recherches a été consacrée à l'étude de la molécule SiCl4 comme précurseur de passivation. Nous avons au préalable analysé les interactions entre espèces générées en plasma SF6/SiCl4. Les expériences de caractérisation du plasma montrent que les réactions aux parois entre atomes F et espèces SiClx contrôlent la chimie du plasma et donc les propriétés de gravure du silicium. En mélange SF6/O2/SiCl4, ces réactions influent également sur la vitesse de gravure du substrat, mais l'ajout de SiCl4 à SF6/O2 a surtout pour effet de favoriser l'attaque chimique latérale. Nous avons finalement étudié la possibilité de former une couche de passivation par plasma SiCl4/O2 à température de substrat de -20 °C. Les résultats de cette étude permettent de proposer un nouveau procédé, basé sur l'alternance d'étapes de gravure par plasma SF6 et d'étapes de passivation par plasma SiCl4/O2.

Simulation numérique par méthode Monte Carlo de la gravure du silicium en plasma fluoré

Simulation numérique par méthode Monte Carlo de la gravure du silicium en plasma fluoré PDF Author: Grégory Marcos
Publisher:
ISBN:
Category :
Languages : fr
Pages : 200

Get Book Here

Book Description
Le projet de cette thèse porte sur l'étude de la gravure sèche de tranchées fines et profondes dans du silicium. Ce type de procédé est utilisé dans la fabrication des dispositifs de puissance. Le protocole expérimental s'appuie sur un procédé plasma cryogénique au cours duquel une décharge radiofréquence de SF6/O2 est initiée dans un réacteur ICP. A partir des résultats fournis par l'étude empirique, le travail de recherche a consisté en l'élaboration d'un modèle de gravure basé sur la méthode Monte Carlo. Ce simulateur est composé d'un module calculant les fonctions de distribution du flux d'ions incident. Il intègre leur trajectoire dans la gaine plasma en considérant les processus de collisions élastiques et à transfert de charge. Suivant les conditions initiales du procédé, il fournit donc des données cinétiques d'entrée au module de déplacement du substrat. Le modèle de gravure décrit les principaux mécanismes de surface produits par les espèces réactives (atomes de fluor et d'oxygène, dont le flux est supposé isotrope) et le bombardement ionique. Il introduit l'adsorption/désorption, la gravure chimique spontanée, la pulvérisation préférentielle, la réflexion isotrope ou spéculaire des particules, le redépôt des produits de gravure et la croissance de la couche de passivation. Utilisé comme outil d'analyse et de recherche prédictive, ce modèle a permis de montrer l'incidence de certains paramètres dans la croissance de défauts de gravure. Ainsi, la réflexion des ions sur les flancs inclinés du masque constitue l'une des causes de formation du bowing (sur-gravure latérale). L'intensité de l'undercut (gravure sous masque) dépend étroitement des propriétés du flux de neutres et de sa réactivité avec le substrat. Par comparaison avec l'expérience, il apparaît que le redépôt, responsable de la rugosité et du rétrécissement des motifs, est peu important. Enfin, le simulateur révèle une forte corrélation entre le régime de passivation et les cinétiques de gravure.

Le contrôle des paramètres de gravure dans les plasmas multipolaires micro-onde

Le contrôle des paramètres de gravure dans les plasmas multipolaires micro-onde PDF Author: Brigitte Petit
Publisher:
ISBN:
Category :
Languages : fr
Pages : 236

Get Book Here

Book Description
LES MECANISMES DE GRAVURE DU SILICIUM EN PLASMA DE SF::(6) SONT ETUDIES AUX BASSES PRESSIONS ET AUX FAIBLES ENERGIES IONIQUES DANS UN PLASMA MULTIPOLAIRE MICRO-ONDE. L'ANALYSE DES PROFILS OBTENUS SUR DES MOTIFS GRAVES ET CELLE DES PRODUITS DE REACTION DETECTES IN SITU PAR SPECTROMETRIE DE MASSE MONTRE COMMENT LA VITESSE DE GRAVURE ET L'ANISOTROPIE EVOLUENT EN FONCTION DE LA PRESSION, DE L'ENERGIE DES IONS ET DE LA DENSITE DE COURANT COLLECTEE. EN PARTICULIER, ON OBSERVE UNE TRANSITION REGIME ISOTROPE-REGIME ANISOTROPE POUR UNE VALEUR CRITIQUE DE LA PRESSION. POUR EXPLIQUER LES RESULTATS EXPERIMENTAUX OBTENUS, UNE NOUVELLE INTERPRETATION DES MECANISMES DE SURFACE INTERVENANT EN GRAVURE PLASMA EST PROPOSEE

Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés

Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés PDF Author: Sébastien Avertin
Publisher:
ISBN:
Category :
Languages : fr
Pages : 0

Get Book Here

Book Description
Les dictats de la course à la miniaturisation et à l'accroissement des performances suivit par les industriels de la microélectronique, se heurte aujourd'hui aux limites physiques, technologiques et économiques. Une alternative innovante pour dépasser ces inconvénients, réside en l'intégration tridimensionnelle de circuits intégrés. Cette technologie consiste à empiler verticalement différents niveaux de circuits aux fonctionnalités diverses. Elle ouvre la voie à des systèmes multifonctions ou hétérogènes, aux performances électriques bien meilleures que les circuits bidimensionnels existants. L'empilement de ces puces est réalisable par l'intermédiaire de vias traversant nommés « Though Silicon Via » (« TSV »), qui sont obtenus par la succession de différentes étapes technologiques, dont une d'entre elles consiste à réaliser par gravure plasma, des microcavités profondes à travers le silicium. Actuellement deux procédés de gravure plasma sont principalement utilisés pour la conception de « TSV », le procédé Bosch et le procédé cryogénique, avec dans les deux cas des avantages et des inconvénients différents. L'objet de cette thèse s'inscrit dans le développement d'un procédé de gravure plasma innovant et alternatif à ceux actuellement utilisés, afin de limiter leurs inconvénients (rugosité de flancs, manque de contrôle des profils, basse température...). Dans cette logique deux procédés de gravure profonde ont été envisagés, exploitant les chimies de gravure SF6/O2/HBr et SF6/O2/HBr/SiF4. L'ensemble de l'étude vise à une meilleure compréhension des mécanismes de gravure et de passivation des cavités à fort facteur de forme grâce en particulier à l'exploitation des techniques d'analyse de surface par XPS.

EXPERIMENTAL STUDY OF THE ETCHING OF POLYMERS IN O#2 AND SF#6 MULTIPOLAR MICROWAVE PLASMAS

EXPERIMENTAL STUDY OF THE ETCHING OF POLYMERS IN O#2 AND SF#6 MULTIPOLAR MICROWAVE PLASMAS PDF Author: Olivier Joubert
Publisher:
ISBN:
Category :
Languages : en
Pages : 123

Get Book Here

Book Description
UNE ETUDE EXPERIMENTALE DE LA GRAVURE DES RESINES NOVOLAQUES DANS LES PLAMAS MULTIPOLAIRES MICRO-ONDE A BASE D'OXYGENE ET DE SF#6 A ETE ENTREPRISE. LA PHASE GAZEUSE D'UN PLASMA SF#6 A ETE CARACTERISEE PAR ACTINOMETRIE, METHODE QUI PERMET DES MESURES RELATIVES DE LA CONCENTRATION DE FLUOR ATOMIQUE DANS LE PLASMA. DES MESURES DE LA CONCENTRATION EN OXYGENE ATOMIQUE ONT ETE EFFECTUEES SIMULTANEMENT PAR ABSORPTION OPTIQUE ET ACTINOMETRIE. ELLES METTENT CLAIREMENT EN EVIDENCE QUE L'ACTINOMETRIE N'EST PAS ADAPTEE POUR RENDRE COMPTE DE LA CONCENTRATION EN OXYGENE ATOMIQUE DANS LES PLASMAS A BASE D'OXYGENE. L'ETUDE PARAMETRIQUE DE LA GRAVURE DE LA RESINE DANS UN PLASMA DE SF#6 MONTRE QUE LA VITESSE DE GRAVURE DE LA NOVOLAQUE N'EST PROPORTIONNELLE NI A LA CONCENTRATION DE FLUOR ATOMIQUE DANS LE REACTEUR, NI A LA DENSITE DE COURANT D'IONS QUI BOMBARDENT L'ECHANTILLON. LA MODELISATION SIMPLE PROPOSEE, QUI FAIT APPEL A UNE ADSORPTION DE TYPE MONOCOUCHE, REND COMPTE DE CES RESULTATS EXPERIMENTAUX. LES HYPOTHESES DE LA MODELISATION SONT CONFIRMEES PAR DES ANALYSES DE SURFACE PAR XPS. EN PLUS DES EFFETS MECANIQUES, LE BOMBARDEMENT IONIQUE EST RESPONSABLE D'UNE ELEVATION DE TEMPERATURE DU SUBSTRAT QUI PROVOQUE DES MODIFICATIONS IMPORTANTES DES PROPRIETES VISCOELASTIQUES DU POLYMERE. EN PARTICULIER, AU-DELA DE LA TEMPERATURE DE TRANSITION VITREUSE DU POLYMERE, L'AUTODIFFUSION DES CHAINES DU POLYMERE EST MISE EN EVIDENCE EXPERIMENTALEMENT. ELLE CONDUIT, SELON LA NATURE CHIMIQUE DU PLASMA AUQUEL LE POLYMERE EST EXPOSE, SOIT A UNE ACCELERATION DE LA VITESSE DE GRAVURE, SOIT A SA DEGRADATION EN VOLUME