Study of Plasma-surface Kinetics and Feature Profile Simulation of Poly-silicon Etching in Cl2/HBr Plasma

Study of Plasma-surface Kinetics and Feature Profile Simulation of Poly-silicon Etching in Cl2/HBr Plasma PDF Author: Weidong Jin
Publisher:
ISBN:
Category :
Languages : en
Pages : 185

Get Book Here

Book Description
This work characterized the Cl2/HBr ion enhanced plasma-surface interactions with poly-silicon as a function of the gas composition, ion energy, ion incident angle and other important process parameters. A realistic inductively coupled plasma beam apparatus capable of generating ions and neutrals representative of real commercial etcher was constructed and utilized to simulate accurately a high density plasma environment. Etching rate of poly- silicon, the oxygen effect and loading effect are quantified to better describe the etching of patterned poly-silicon in fabricating the gate electrode of a transistor in VLSI manufacturing process. The kinetics model derived from these measurements are incorporated into a Monte Carlo based feature profile simulator, and profile evolution has been simulated under various processing conditions. The realistic plasma beam was used to measure the etching yields of poly-silicon with Cl2/HBr chemistry at different ion energies. The etching yields were found to scale linearly with ... where the threshold energies, Eth are 10 eV for both Cl2 and HBr. The etching yields at different neutral-to-ion flux ratio were measured and the sticking coefficients are derived for reactive neutrals for Cl2 and HBr. The sticking coefficient for HBr system is lower probably due to the relatively larger size of bromine atom compared with chlorine and its relatively lower chemical reactivity. The etching yields for mixed Cl2+HBr plasma at different compositions were also measured.

Study of Plasma-surface Kinetics and Feature Profile Simulation of Poly-silicon Etching in Cl2/HBr Plasma

Study of Plasma-surface Kinetics and Feature Profile Simulation of Poly-silicon Etching in Cl2/HBr Plasma PDF Author: Weidong Jin
Publisher:
ISBN:
Category :
Languages : en
Pages : 185

Get Book Here

Book Description
This work characterized the Cl2/HBr ion enhanced plasma-surface interactions with poly-silicon as a function of the gas composition, ion energy, ion incident angle and other important process parameters. A realistic inductively coupled plasma beam apparatus capable of generating ions and neutrals representative of real commercial etcher was constructed and utilized to simulate accurately a high density plasma environment. Etching rate of poly- silicon, the oxygen effect and loading effect are quantified to better describe the etching of patterned poly-silicon in fabricating the gate electrode of a transistor in VLSI manufacturing process. The kinetics model derived from these measurements are incorporated into a Monte Carlo based feature profile simulator, and profile evolution has been simulated under various processing conditions. The realistic plasma beam was used to measure the etching yields of poly-silicon with Cl2/HBr chemistry at different ion energies. The etching yields were found to scale linearly with ... where the threshold energies, Eth are 10 eV for both Cl2 and HBr. The etching yields at different neutral-to-ion flux ratio were measured and the sticking coefficients are derived for reactive neutrals for Cl2 and HBr. The sticking coefficient for HBr system is lower probably due to the relatively larger size of bromine atom compared with chlorine and its relatively lower chemical reactivity. The etching yields for mixed Cl2+HBr plasma at different compositions were also measured.

Study of Plasma-surface Kinetics and Simulation of Feature Profile Evolution in Chlorine Etching of Patterened Polysilicon

Study of Plasma-surface Kinetics and Simulation of Feature Profile Evolution in Chlorine Etching of Patterened Polysilicon PDF Author: Jane Pei-chen Chang
Publisher:
ISBN:
Category :
Languages : en
Pages : 218

Get Book Here

Book Description


Plasma Processing XIV

Plasma Processing XIV PDF Author: G. S. Mathad
Publisher:
ISBN: 9781566773416
Category : Technology & Engineering
Languages : en
Pages : 342

Get Book Here

Book Description


Feature Profile Evolution During the High Density Plasma Etching of Polysilicon

Feature Profile Evolution During the High Density Plasma Etching of Polysilicon PDF Author: Arpan Pravin Mahorowala
Publisher:
ISBN:
Category :
Languages : en
Pages : 200

Get Book Here

Book Description


Applied Mechanics, Mechatronics and Intelligent Systems - Proceedings of the 2015 International Conference (ammis2015)

Applied Mechanics, Mechatronics and Intelligent Systems - Proceedings of the 2015 International Conference (ammis2015) PDF Author: Shihong Qin
Publisher: World Scientific
ISBN: 9814733873
Category : Science
Languages : en
Pages : 962

Get Book Here

Book Description
This book consists of one hundred and twenty-five selected papers presented at the 2015 International Conference on Applied Mechanics, Mechatronics and Intelligent Systems (AMMIS2015), which was held in Nanjing, China during June 19-20, 2015.AMMIS2015 focuses on seven main areas, namely, applied mechanics, control and automation, intelligent systems, computer technology, electronics engineering, electrical engineering, and materials science and technology. Experts in this field from all over the world contributed to the collection of research results and development activities.AMMIS2015 provides an excellent international exchange platform for researchers to share their development works and results in these areas. All papers selected for this proceeding were subjected to a rigorous peer-review process.

Meeting Abstracts

Meeting Abstracts PDF Author: Electrochemical Society
Publisher:
ISBN:
Category : Electrochemistry
Languages : en
Pages : 1590

Get Book Here

Book Description


Dissertation Abstracts International

Dissertation Abstracts International PDF Author:
Publisher:
ISBN:
Category : Dissertations, Academic
Languages : en
Pages : 788

Get Book Here

Book Description


Atomic Layer Processing

Atomic Layer Processing PDF Author: Thorsten Lill
Publisher: John Wiley & Sons
ISBN: 3527346686
Category : Technology & Engineering
Languages : en
Pages : 306

Get Book Here

Book Description
Learn about fundamental and advanced topics in etching with this practical guide Atomic Layer Processing: Semiconductor Dry Etching Technology delivers a hands-on, one-stop resource for understanding etching technologies and their applications. The distinguished scientist, executive, and author offers readers in-depth information on the various etching technologies used in the semiconductor industry, including thermal, isotropic atomic layer, radical, ion-assisted, and reactive ion etching. The book begins with a brief history of etching technology and the role it has played in the information technology revolution, along with a collection of commonly used terminology in the industry. It then moves on to discuss a variety of different etching techniques, before concluding with discussions of the fundamentals of etching reactor design and newly emerging topics in the field such as the role played by artificial intelligence in the technology. Atomic Layer Processing includes a wide variety of other topics as well, all of which contribute to the author's goal of providing the reader with an atomic-level understanding of dry etching technology sufficient to develop specific solutions for existing and emerging semiconductor technologies. Readers will benefit from: A complete discussion of the fundamentals of how to remove atoms from various surfaces An examination of emerging etching technologies, including laser and electron beam assisted etching A treatment of process control in etching technology and the role played by artificial intelligence Analyses of a wide variety of etching methods, including thermal or vapor etching, isotropic atomic layer etching, radical etching, directional atomic layer etching, and more Perfect for materials scientists, semiconductor physicists, and surface chemists, Atomic Layer Processing will also earn a place in the libraries of engineering scientists in industry and academia, as well as anyone involved with the manufacture of semiconductor technology. The author's close involvement with corporate research & development and academic research allows the book to offer a uniquely multifaceted approach to the subject.

Feature Profile Evolution in Plasma Processing Using On-wafer Monitoring System

Feature Profile Evolution in Plasma Processing Using On-wafer Monitoring System PDF Author: Seiji Samukawa
Publisher: Springer Science & Business Media
ISBN: 4431547959
Category : Technology & Engineering
Languages : en
Pages : 46

Get Book Here

Book Description
This book provides for the first time a good understanding of the etching profile technologies that do not disturb the plasma. Three types of sensors are introduced: on-wafer UV sensors, on-wafer charge-up sensors and on-wafer sheath-shape sensors in the plasma processing and prediction system of real etching profiles based on monitoring data. Readers are made familiar with these sensors, which can measure real plasma process surface conditions such as defect generations due to UV-irradiation, ion flight direction due to charge-up voltage in high-aspect ratio structures and ion sheath conditions at the plasma/surface interface. The plasma etching profile realistically predicted by a computer simulation based on output data from these sensors is described.

Surface Evolution During Integrated Circuit Processing

Surface Evolution During Integrated Circuit Processing PDF Author: Michael Andrew Vyvoda
Publisher:
ISBN:
Category :
Languages : en
Pages : 446

Get Book Here

Book Description