High Quality Test Pattern Generation and Boolean Satisfiability

High Quality Test Pattern Generation and Boolean Satisfiability PDF Author: Stephan Eggersglüß
Publisher: Springer Science & Business Media
ISBN: 1441999760
Category : Technology & Engineering
Languages : en
Pages : 208

Get Book Here

Book Description
This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT). A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects. The aim of the techniques and methodologies presented in this book is to improve SAT-based ATPG, in order to make it applicable in industrial practice. Readers will learn to improve the performance and robustness of the overall test generation process, so that the ATPG algorithm reliably will generate test patterns for most targeted faults in acceptable run time to meet the high fault coverage demands of industry. The techniques and improvements presented in this book provide the following advantages: Provides a comprehensive introduction to test generation and Boolean Satisfiability (SAT); Describes a highly fault efficient SAT-based ATPG framework; Introduces circuit-oriented SAT solving techniques, which make use of structural information and are able to accelerate the search process significantly; Provides SAT formulations for the prevalent delay faults models, in addition to the classical stuck-at fault model; Includes an industrial perspective on the state-of-the-art in the testing, along with SAT; two topics typically distinguished from each other.

High Quality Test Pattern Generation and Boolean Satisfiability

High Quality Test Pattern Generation and Boolean Satisfiability PDF Author: Stephan Eggersglüß
Publisher: Springer Science & Business Media
ISBN: 1441999760
Category : Technology & Engineering
Languages : en
Pages : 208

Get Book Here

Book Description
This book provides an overview of automatic test pattern generation (ATPG) and introduces novel techniques to complement classical ATPG, based on Boolean Satisfiability (SAT). A fast and highly fault efficient SAT-based ATPG framework is presented which is also able to generate high-quality delay tests such as robust path delay tests, as well as tests with long propagation paths to detect small delay defects. The aim of the techniques and methodologies presented in this book is to improve SAT-based ATPG, in order to make it applicable in industrial practice. Readers will learn to improve the performance and robustness of the overall test generation process, so that the ATPG algorithm reliably will generate test patterns for most targeted faults in acceptable run time to meet the high fault coverage demands of industry. The techniques and improvements presented in this book provide the following advantages: Provides a comprehensive introduction to test generation and Boolean Satisfiability (SAT); Describes a highly fault efficient SAT-based ATPG framework; Introduces circuit-oriented SAT solving techniques, which make use of structural information and are able to accelerate the search process significantly; Provides SAT formulations for the prevalent delay faults models, in addition to the classical stuck-at fault model; Includes an industrial perspective on the state-of-the-art in the testing, along with SAT; two topics typically distinguished from each other.

Robust Algorithms for High Quality Test Pattern Generation Using Boolean Satisfiability

Robust Algorithms for High Quality Test Pattern Generation Using Boolean Satisfiability PDF Author: Stephan Eggersglüß
Publisher:
ISBN:
Category :
Languages : en
Pages : 182

Get Book Here

Book Description


Test Pattern Generation using Boolean Proof Engines

Test Pattern Generation using Boolean Proof Engines PDF Author: Rolf Drechsler
Publisher: Springer Science & Business Media
ISBN: 9048123607
Category : Technology & Engineering
Languages : en
Pages : 196

Get Book Here

Book Description
In Test Pattern Generation using Boolean Proof Engines, we give an introduction to ATPG. The basic concept and classical ATPG algorithms are reviewed. Then, the formulation as a SAT problem is considered. As the underlying engine, modern SAT solvers and their use on circuit related problems are comprehensively discussed. Advanced techniques for SAT-based ATPG are introduced and evaluated in the context of an industrial environment. The chapters of the book cover efficient instance generation, encoding of multiple-valued logic, usage of various fault models, and detailed experiments on multi-million gate designs. The book describes the state of the art in the field, highlights research aspects, and shows directions for future work.

Efficient Generation of Test Patterns Using Boolean Satisfiability

Efficient Generation of Test Patterns Using Boolean Satisfiability PDF Author: Tracy Larrabee
Publisher:
ISBN:
Category : Algebra, Boolean
Languages : en
Pages : 57

Get Book Here

Book Description
Second, it applies a Boolean satisfiability algorithm to the resulting formula. This approach differs from most programs now in use, which directly search the circuit data structure instead of constructing a formula from it. The new method is quite general and allows for the addition of any heuristic used by the structural search methods. The Boolean satisfiability method has produced excellent results on popular test pattern generation benchmarks."

Formal Modeling and Verification of Cyber-Physical Systems

Formal Modeling and Verification of Cyber-Physical Systems PDF Author: Rolf Drechsler
Publisher: Springer
ISBN: 3658099941
Category : Computers
Languages : en
Pages : 324

Get Book Here

Book Description
This book presents the lecture notes of the 1st Summer School on Methods and Tools for the Design of Digital Systems, 2015, held in Bremen, Germany. The topic of the summer school was devoted to modeling and verification of cyber-physical systems. This covers several aspects of the field, including hybrid systems and model checking, as well as applications in robotics and aerospace systems. The main chapters have been written by leading scientists, who present their field of research, each providing references to introductory material as well as latest scientific advances and future research directions. This is complemented by short papers submitted by the participating PhD students.

Two Papers on Test Pattern Generation

Two Papers on Test Pattern Generation PDF Author: Digital Equipment Corporation. Western Research Laboratory
Publisher:
ISBN:
Category : Electronic circuits
Languages : en
Pages :

Get Book Here

Book Description
The first paper, Efficient Generation of Test Patterns Using Boolean Difference, gives an overview of a successful test pattern generation system using the Boolean satisfiability method. The second paper, A Framework for Evaluating Test Pattern Generation Strategies, describes potential test pattern generation heuristics and their efficacy in the Boolean satisfiability system."

The Hardware Trojan War

The Hardware Trojan War PDF Author: Swarup Bhunia
Publisher: Springer
ISBN: 3319685112
Category : Technology & Engineering
Languages : en
Pages : 383

Get Book Here

Book Description
This book, for the first time, provides comprehensive coverage on malicious modification of electronic hardware, also known as, hardware Trojan attacks, highlighting the evolution of the threat, different attack modalities, the challenges, and diverse array of defense approaches. It debunks the myths associated with hardware Trojan attacks and presents practical attack space in the scope of current business models and practices. It covers the threat of hardware Trojan attacks for all attack surfaces; presents attack models, types and scenarios; discusses trust metrics; presents different forms of protection approaches – both proactive and reactive; provides insight on current industrial practices; and finally, describes emerging attack modes, defenses and future research pathways.

Design Automation Techniques for Approximation Circuits

Design Automation Techniques for Approximation Circuits PDF Author: Arun Chandrasekharan
Publisher: Springer
ISBN: 3319989650
Category : Technology & Engineering
Languages : en
Pages : 140

Get Book Here

Book Description
This book describes reliable and efficient design automation techniques for the design and implementation of an approximate computing system. The authors address the important facets of approximate computing hardware design - from formal verification and error guarantees to synthesis and test of approximation systems. They provide algorithms and methodologies based on classical formal verification, synthesis and test techniques for an approximate computing IC design flow. This is one of the first books in Approximate Computing that addresses the design automation aspects, aiming for not only sketching the possibility, but providing a comprehensive overview of different tasks and especially how they can be implemented.

Cryptographic Hardware and Embedded Systems -- CHES 2015

Cryptographic Hardware and Embedded Systems -- CHES 2015 PDF Author: Tim Güneysu
Publisher: Springer
ISBN: 3662483246
Category : Computers
Languages : en
Pages : 705

Get Book Here

Book Description
This book constitutes the refereed proceedings of the 17th International Workshop on Cryptographic Hardware and Embedded Systems, CHES 2015, held in Saint Malo, France, in September 2015. The 34 full papers included in this volume were carefully reviewed and selected from 128 submissions. They are organized in the following topical sections: processing techniques in side-channel analysis; cryptographic hardware implementations; homomorphic encryption in hardware; side-channel attacks on public key cryptography; cipher design and cryptanalysis; true random number generators and entropy estimations; side-channel analysis and fault injection attacks; higher-order side-channel attacks; physically unclonable functions and hardware trojans; side-channel attacks in practice; and lattice-based implementations.

Practical Aspects of Declarative Languages

Practical Aspects of Declarative Languages PDF Author: Marco Gavanelli
Publisher: Springer
ISBN: 331928228X
Category : Computers
Languages : en
Pages : 193

Get Book Here

Book Description
This book constitutes the refereed proceedings of the 18th International Symposium on Practical Aspects of Declarative Languages, PADL 2016, held in St. Petersburg, FL, USA, in January 2016. The 11 revised papers presented were carefully reviewed and selected from 17 initial submissions for inclusion in the book. PADL is a forum for researchers and practitioners to present original work emphasizing novel applications and implementation techniques for all forms of declarative concepts, including, functional, logic, constraints, etc.