Microstructure and Processing Effects on Stress and Reliability for Through-silicon Vias (TSVs) in 3D Integrated Circuits

Microstructure and Processing Effects on Stress and Reliability for Through-silicon Vias (TSVs) in 3D Integrated Circuits PDF Author: Tengfei Jiang
Publisher:
ISBN:
Category :
Languages : en
Pages : 320

Get Book Here

Book Description
Copper (Cu) Through-silicon via (TSV) is a key enabling element that provides the vertical connection between stacked dies in three-dimensional (3D) integration. The thermal expansion mismatch between Cu and Si induces complex stresses in and around the TSV structures, which can degrade the performance and reliability of 3DICs and are key concerns for technology development. In this dissertation, the effects of Cu microstructure and processing conditions on the stress characteristics and reliability of the TSV structure are studied. First, the stress characteristics of Cu TSV structures are investigated using the substrate curvature method. The substrate curvature measurement was supplemented by microstructure and finite element analyses (FEA) to investigate the mechanisms for the linear and nonlinear stress-temperature behaviors observed for the TSV structure. Implications of the near surface stress on carrier mobility change and device keep-out zone (KOZ) are discussed. Second, via extrusion, an important yield and reliability issue for 3D integration, is analyzed. Synchrotron x-ray microdiffraction technique was introduced for direct measurements of local stress and material behaviors in and around the TSV. Local plasticity near the top of the via was observed which provided direct experimental evidence to support the plasticity mechanism of via extrusion. An analytical model and FEA were used to analyze via extrusion based on local plasticity. Next, the effect of Cu microstructure effect on the thermomechanical behaviors of TSVs is investigated. The contribution from grain boundary and interfacial diffusion on via extrusion and the relaxation mechanisms are discussed. Potential approaches to minimize via extrusion are proposed. Finally, the stress characteristics of 3D die stack structures are studied using synchrotron x-ray microdiffraction. High resolution stress mappings were performed and verified by finite element analysis (FEA). FEA was further developed to estimate the stress effect on device mobility changes and the warpage of the integrated structure.

Microstructure and Processing Effects on Stress and Reliability for Through-silicon Vias (TSVs) in 3D Integrated Circuits

Microstructure and Processing Effects on Stress and Reliability for Through-silicon Vias (TSVs) in 3D Integrated Circuits PDF Author: Tengfei Jiang
Publisher:
ISBN:
Category :
Languages : en
Pages : 320

Get Book Here

Book Description
Copper (Cu) Through-silicon via (TSV) is a key enabling element that provides the vertical connection between stacked dies in three-dimensional (3D) integration. The thermal expansion mismatch between Cu and Si induces complex stresses in and around the TSV structures, which can degrade the performance and reliability of 3DICs and are key concerns for technology development. In this dissertation, the effects of Cu microstructure and processing conditions on the stress characteristics and reliability of the TSV structure are studied. First, the stress characteristics of Cu TSV structures are investigated using the substrate curvature method. The substrate curvature measurement was supplemented by microstructure and finite element analyses (FEA) to investigate the mechanisms for the linear and nonlinear stress-temperature behaviors observed for the TSV structure. Implications of the near surface stress on carrier mobility change and device keep-out zone (KOZ) are discussed. Second, via extrusion, an important yield and reliability issue for 3D integration, is analyzed. Synchrotron x-ray microdiffraction technique was introduced for direct measurements of local stress and material behaviors in and around the TSV. Local plasticity near the top of the via was observed which provided direct experimental evidence to support the plasticity mechanism of via extrusion. An analytical model and FEA were used to analyze via extrusion based on local plasticity. Next, the effect of Cu microstructure effect on the thermomechanical behaviors of TSVs is investigated. The contribution from grain boundary and interfacial diffusion on via extrusion and the relaxation mechanisms are discussed. Potential approaches to minimize via extrusion are proposed. Finally, the stress characteristics of 3D die stack structures are studied using synchrotron x-ray microdiffraction. High resolution stress mappings were performed and verified by finite element analysis (FEA). FEA was further developed to estimate the stress effect on device mobility changes and the warpage of the integrated structure.

Stress Management for 3D ICS Using Through Silicon Vias:

Stress Management for 3D ICS Using Through Silicon Vias: PDF Author: Ehrenfried Zschech
Publisher: American Institute of Physics
ISBN: 9780735409385
Category : Science
Languages : en
Pages : 0

Get Book Here

Book Description
Scientist and engineers as well as graduate students in the fields of This conference will be of interest to anyone involved in Physics, Electrical Engineering, Materials Science and Engineering, Reliability and Quality Management, both in industry and academia. One current challenge to micro- and nanoelectronics is the understanding of stress-related phenomena in 3D IC integration. Stresses arising in 3D TSV interconnects and in the surrounding materials due to thermal mismatch, microstructure changes or process integration can lead to performance reduction, reliability-limiting degradation and failure of microelectronic products. Understanding stress-related phenomena in new materials used for 3D integration and packaging, particularly using through silicon vias and microbumps, is critical for future microelectronic products. Management of mechanical stress is one of the key enablers for the successful implementation of 3D-integrated circuits using through silicon vias (TSVs). The potential stress-related impact of the 3D integration process on the device characteristics must be understood and shared, and designers need a solution for managing stress. The Proceedings summarize new research results and advances in basic understanding of stress-induced phenomena in 3D IC integration. Modelling and simulation capabilities as well as materials characterization are demonstrated to evaluate the effect of stress on product performance.

The Scaling and Microstructure Effects on the Thermal Stress and Reliability of Through-silicon Vias in 3D Integrated Circuits

The Scaling and Microstructure Effects on the Thermal Stress and Reliability of Through-silicon Vias in 3D Integrated Circuits PDF Author: Laura Emilie Spinella
Publisher:
ISBN:
Category :
Languages : en
Pages : 230

Get Book Here

Book Description
Through-silicon vias (TSVs) enable full three-dimensional integration by providing high-density vertical interconnections, improving device bandwidth and power consumption. However, TSVs pose reliability risks due to the thermal stresses induced by the thermal expansion coefficient mismatch between silicon and copper, which causes thermal stress buildup and TSV extrusion to degrade device reliability and performance. It has been proposed that optimal post-plating annealing or downscaling TSVs could mitigate deleterious effects for TSVs. While results show some reductions in the average extrusion, the worst cases and statistical spread are not improved. This work investigates the scaling and microstructure effects on stress and extrusion statistics of TSVs to assess reliability risks for future 3D technology with continued TSV downscaling. The basic mechanisms of the extrusion phenomenon and its correlation to the copper microstructural characteristics are examined in order to trace the root cause of the high statistical spreads in the extrusion results. Experimental results first establish the characterization of the TSV samples and demonstrate that neither annealing nor downscaling can fully resolve the reliability threats, as further annealing perpetuates abnormal grain growth to increase the TSV extrusion heights and statistical spreads. Extrusion is shown to be statistical in nature, depending on the microstructure and elastic anisotropy of copper, and is not improved by scaling. Synchrotron x-ray microdiffraction is used to measure the local plasticity of individual copper grains and the results were consistent with the extrusion characteristics, confirming the local plasticity mechanism of extrusion. This directly correlates the extrusion profile to the plasticity in the copper grains, where the statistical spread increases with downscaling. Thermomechanical models validate the non-uniform effect of the grain structure on the stress and extrusion behavior. Additionally, a microstructure simulation was carried out, which accounted for the orientation-dependence of the surface, grain boundary, and strain energies. The results, which confirm the statistical scatter observed in the microstructure and extrusion, indicate that elastic anisotropy drives microstructure evolution, causing the bimodal grain distribution upon annealing. The simulation, having been experimentally validated, is further used to assess the scaling effect on copper TSV reliability and the use of alternative materials to improve reliability.

Arbitrary Modeling of TSVs for 3D Integrated Circuits

Arbitrary Modeling of TSVs for 3D Integrated Circuits PDF Author: Khaled Salah
Publisher: Springer
ISBN: 3319076116
Category : Technology & Engineering
Languages : en
Pages : 181

Get Book Here

Book Description
This book presents a wide-band and technology independent, SPICE-compatible RLC model for through-silicon vias (TSVs) in 3D integrated circuits. This model accounts for a variety of effects, including skin effect, depletion capacitance and nearby contact effects. Readers will benefit from in-depth coverage of concepts and technology such as 3D integration, Macro modeling, dimensional analysis and compact modeling, as well as closed form equations for the through silicon via parasitics. Concepts covered are demonstrated by using TSVs in applications such as a spiral inductor and inductive-based communication system and bandpass filtering.

Through-Silicon Vias for 3D Integration

Through-Silicon Vias for 3D Integration PDF Author: John H. Lau
Publisher: McGraw Hill Professional
ISBN: 0071785159
Category : Technology & Engineering
Languages : en
Pages : 513

Get Book Here

Book Description
A comprehensive guide to TSV and other enabling technologies for 3D integration Written by an expert with more than 30 years of experience in the electronics industry, Through-Silicon Vias for 3D Integration provides cutting-edge information on TSV, wafer thinning, thin-wafer handling, microbumping and assembly, and thermal management technologies. Applications to highperformance, high-density, low-power-consumption, wide-bandwidth, and small-form-factor electronic products are discussed. This book offers a timely summary of progress in all aspects of this fascinating field for professionals active in 3D integration research and development, those who wish to master 3D integration problem-solving methods, and anyone in need of a low-power, wide-bandwidth design and high-yield manufacturing process for interconnect systems. Coverage includes: Nanotechnology and 3D integration for the semiconductor industry TSV etching, dielectric-, barrier-, and seed-layer deposition, Cu plating, CMP, and Cu revealing TSVs: mechanical, thermal, and electrical behaviors Thin-wafer strength measurement Wafer thinning and thin-wafer handling Microbumping, assembly, and reliability Microbump electromigration Transient liquid-phase bonding: C2C, C2W, and W2W 2.5D IC integration with interposers 3D IC integration with interposers Thermal management of 3D IC integration 3D IC packaging

3D Microelectronic Packaging

3D Microelectronic Packaging PDF Author: Yan Li
Publisher: Springer Nature
ISBN: 9811570906
Category : Technology & Engineering
Languages : en
Pages : 629

Get Book Here

Book Description
This book offers a comprehensive reference guide for graduate students and professionals in both academia and industry, covering the fundamentals, architecture, processing details, and applications of 3D microelectronic packaging. It provides readers an in-depth understanding of the latest research and development findings regarding this key industry trend, including TSV, die processing, micro-bumps for LMI and MMI, direct bonding and advanced materials, as well as quality, reliability, fault isolation, and failure analysis for 3D microelectronic packages. Images, tables, and didactic schematics are used to illustrate and elaborate on the concepts discussed. Readers will gain a general grasp of 3D packaging, quality and reliability concerns, and common causes of failure, and will be introduced to developing areas and remaining gaps in 3D packaging that can help inspire future research and development.

Power Electronic Packaging

Power Electronic Packaging PDF Author: Yong Liu
Publisher: Springer Science & Business Media
ISBN: 1461410533
Category : Technology & Engineering
Languages : en
Pages : 606

Get Book Here

Book Description
Power Electronic Packaging presents an in-depth overview of power electronic packaging design, assembly,reliability and modeling. Since there is a drastic difference between IC fabrication and power electronic packaging, the book systematically introduces typical power electronic packaging design, assembly, reliability and failure analysis and material selection so readers can clearly understand each task's unique characteristics. Power electronic packaging is one of the fastest growing segments in the power electronic industry, due to the rapid growth of power integrated circuit (IC) fabrication, especially for applications like portable, consumer, home, computing and automotive electronics. This book also covers how advances in both semiconductor content and power advanced package design have helped cause advances in power device capability in recent years. The author extrapolates the most recent trends in the book's areas of focus to highlight where further improvement in materials and techniques can drive continued advancements, particularly in thermal management, usability, efficiency, reliability and overall cost of power semiconductor solutions.

Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts

Placement for Fast and Reliable Through-silicon-via (TSV) Based 3D-IC Layouts PDF Author: Krit Athikulwongse
Publisher:
ISBN:
Category : Integrated circuits
Languages : en
Pages :

Get Book Here

Book Description
The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-induced carrier mobility variation, temperature, and quality trade-offs, found in three-dimensional integrated circuits (3D ICs) that use through-silicon vias (TSVs) at placement stage. Four main works that support this goal are included. In the first work, wirelength of TSV-based 3D ICs is the main focus. In the second work, stress-induced carrier mobility variation in TSV-based 3D ICs is examined. In the third work, temperature inside TSV-based 3D ICs is investigated. In the final work, the quality trade-offs of TSV-based 3D-IC designs are explored. In the first work, a force-directed, 3D, and gate-level placement algorithm that efficiently handles TSVs is developed. The experiments based on synthesized benchmarks indicate that the developed algorithm helps generate GDSII layouts of 3D-IC designs that are optimized in terms of wirelength. In addition, the impact of TSVs on other physical aspects of 3D-IC designs is also studied by analyzing the GDSII layouts. In the second work, the model for carrier mobility variation caused by TSV and STI stresses is developed as well as the timing analysis flow considering the stresses. The impact of TSV and STI stresses on carrier mobility variation and performance of 3D ICs is studied. Furthermore, a TSV-stress-driven, force-directed, and 3D placement algorithm is developed. It exploits carrier mobility variation, caused by stress around TSVs after fabrication, to improve the timing and area objectives during placement. In addition, the impact of keep-out zone (KOZ) around TSVs on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs is studied. In the third work, two temperature-aware global placement algorithms are developed. They exploit die-to-die thermal coupling in 3D ICs to improve temperature during placement. In addition, a framework used to evaluate the results from temperature-aware global placements is developed. The main component of the framework is a GDSII-level thermal analysis that considers all structures inside a TSV-based 3D IC while computing temperature. The developed placers are compared with several state-of-the-art placers published in recent literature. The experimental results indicate that the developed algorithms help improve the temperature of 3D ICs effectively. In the final work, three block-level design styles for TSV-based die-to-wafer bonded 3D ICs are discussed. Several 3D-IC layouts in the three styles are manually designed. The main difference among these layouts is the position of TSVs. Finally, the area, wirelength, timing, power, temperature, and mechanical stress of all layouts are compared to explore the trade-offs of layout quality.

Systems-Level Packaging for Millimeter-Wave Transceivers

Systems-Level Packaging for Millimeter-Wave Transceivers PDF Author: Mladen Božanić
Publisher: Springer
ISBN: 3030146901
Category : Technology & Engineering
Languages : en
Pages : 277

Get Book Here

Book Description
This book provides a system-level approach to making packaging decisions for millimeter-wave transceivers. In electronics, the packaging forms a bridge between the integrated circuit or individual device and the rest of the electronic system, encompassing all technologies between the two. To be able to make well-founded packaging decisions, researchers need to understand a broad range of aspects, including: concepts of transmission bands, antennas and propagation, integrated and discrete package substrates, materials and technologies, interconnects, passive and active components, as well as the advantages and disadvantages of various packages and packaging approaches, and package-level modeling and simulation. Packaging also needs to be considered in terms of system-level testing, as well as associated testing and production costs, and reducing costs. This peer-reviewed work contributes to the extant scholarly literature by addressing the aforementioned concepts and applying them to the context of the millimeter-wave regime and the unique opportunities that this transmission approach offers.

Designing TSVs for 3D Integrated Circuits

Designing TSVs for 3D Integrated Circuits PDF Author: Nauman Khan
Publisher: Springer Science & Business Media
ISBN: 1461455073
Category : Technology & Engineering
Languages : en
Pages : 82

Get Book Here

Book Description
This book explores the challenges and presents best strategies for designing Through-Silicon Vias (TSVs) for 3D integrated circuits. It describes a novel technique to mitigate TSV-induced noise, the GND Plug, which is superior to others adapted from 2-D planar technologies, such as a backside ground plane and traditional substrate contacts. The book also investigates, in the form of a comparative study, the impact of TSV size and granularity, spacing of C4 connectors, off-chip power delivery network, shared and dedicated TSVs, and coaxial TSVs on the quality of power delivery in 3-D ICs. The authors provide detailed best design practices for designing 3-D power delivery networks. Since TSVs occupy silicon real-estate and impact device density, this book provides four iterative algorithms to minimize the number of TSVs in a power delivery network. Unlike other existing methods, these algorithms can be applied in early design stages when only functional block- level behaviors and a floorplan are available. Finally, the authors explore the use of Carbon Nanotubes for power grid design as a futuristic alternative to Copper.