Energy Efficient Embedded Video Processing Systems

Energy Efficient Embedded Video Processing Systems PDF Author: Muhammad Usman Karim Khan
Publisher: Springer
ISBN: 331961455X
Category : Technology & Engineering
Languages : en
Pages : 242

Get Book Here

Book Description
This book provides its readers with the means to implement energy-efficient video systems, by using different optimization approaches at multiple abstraction levels. The authors evaluate the complete video system with a motive to optimize its different software and hardware components in synergy, increase the throughput-per-watt, and address reliability issues. Subsequently, this book provides algorithmic and architectural enhancements, best practices and deployment models for new video systems, while considering new implementation paradigms of hardware accelerators, parallelism for heterogeneous multi- and many-core systems, and systems with long life-cycles. Particular emphasis is given to the current video encoding industry standard H.264/AVC, and one of the latest video encoders (High Efficiency Video Coding, HEVC).

Energy Efficient Embedded Video Processing Systems

Energy Efficient Embedded Video Processing Systems PDF Author: Muhammad Usman Karim Khan
Publisher: Springer
ISBN: 331961455X
Category : Technology & Engineering
Languages : en
Pages : 242

Get Book Here

Book Description
This book provides its readers with the means to implement energy-efficient video systems, by using different optimization approaches at multiple abstraction levels. The authors evaluate the complete video system with a motive to optimize its different software and hardware components in synergy, increase the throughput-per-watt, and address reliability issues. Subsequently, this book provides algorithmic and architectural enhancements, best practices and deployment models for new video systems, while considering new implementation paradigms of hardware accelerators, parallelism for heterogeneous multi- and many-core systems, and systems with long life-cycles. Particular emphasis is given to the current video encoding industry standard H.264/AVC, and one of the latest video encoders (High Efficiency Video Coding, HEVC).

3D Video Coding for Embedded Devices

3D Video Coding for Embedded Devices PDF Author: Bruno Zatt
Publisher: Springer Science & Business Media
ISBN: 1461467594
Category : Technology & Engineering
Languages : en
Pages : 219

Get Book Here

Book Description
This book shows readers how to develop energy-efficient algorithms and hardware architectures to enable high-definition 3D video coding on resource-constrained embedded devices. Users of the Multiview Video Coding (MVC) standard face the challenge of exploiting its 3D video-specific coding tools for increasing compression efficiency at the cost of increasing computational complexity and, consequently, the energy consumption. This book enables readers to reduce the multiview video coding energy consumption through jointly considering the algorithmic and architectural levels. Coverage includes an introduction to 3D videos and an extensive discussion of the current state-of-the-art of 3D video coding, as well as energy-efficient algorithms for 3D video coding and energy-efficient hardware architecture for 3D video coding.

Energy-Efficient VLSI Architectures for Real-Time and 3D Video Processing

Energy-Efficient VLSI Architectures for Real-Time and 3D Video Processing PDF Author: Michael Stefano Fritz Schaffner
Publisher: BoD – Books on Demand
ISBN: 3866286244
Category : Science
Languages : en
Pages : 294

Get Book Here

Book Description
Multiview autostereoscopic displays (MADs) make it possible to view video content in 3D without wearing special glasses, and such displays have recently become available. The main problem of MADs is that they require several (typically 8 or 9) views, while most of the 3D video content is in stereoscopic 3D today. To bridge this content-display gap, the research community started to devise automatic multiview synthesis (MVS) methods. Common MVS methods are based on depth-image-based rendering, where a dense depth map of the scene is used to reproject the image to new viewpoints. Although physically correct, this approach requires accurate depth maps and additional inpainting steps. Our work uses an alternative conversion concept based on image domain warping (IDW) which has been successfully applied to related problems such as aspect ratio retargeting for streaming video, and dispa- rity remapping for depth adjustments in stereoscopic 3D content. IDW shows promising performance in this context as it only requires robust, sparse point- correspondences and no inpainting steps. However, MVS, using IDW as well as alternative approaches, is computationally demanding and requires realtime processing - yet such methods should be portable to end-user and even mobile devices to develop their full potential. To this end, this thesis investigates efficient algorithms and hardware architectures for a variety of subproblems arising in the MVS pipeline.

Architectural Enhancements for Color Image and Video Processing on Embedded Systems

Architectural Enhancements for Color Image and Video Processing on Embedded Systems PDF Author: Jongmyon Kim
Publisher:
ISBN:
Category : Imaging systems
Languages : en
Pages :

Get Book Here

Book Description
As emerging portable multimedia applications demand more and more computational throughput with limited energy consumption, the need for high-efficiency, high-throughput embedded processing is becoming an important challenge in computer architecture. In this regard, this dissertation addresses application-, architecture-, and technology-level issues in existing processing systems to provide efficient processing of multimedia in many, or ideally all, of its form. In particular, this dissertation explores color imaging in multimedia while focusing on two architectural enhancements for memory- and performance-hungry embedded applications: (1) a pixel-truncation technique and (2) a color-aware instruction set (CAX) for embedded multimedia systems. The pixel-truncation technique differs from previous techniques (e.g., 4:2:2 and 4:2:0 subsampling) used in image and video compression applications (e.g., JPEG and MPEG) in that it reduces the information content in individual pixel word sizes rather than in each dimension. Thus, this technique drastically reduces the bandwidth and memory required to transport and store color images without perceivable distortion in color. At the same time, it maintains the pixel storage format of color image processing in which each pixel computation is performed simultaneously on 3-D YCbCr components, which are widely used in the image and video processing community. CAX supports parallel operations on two-packed 16-bit (6:5:5) YCbCr data in a 32-bit datapath processor, providing greater concurrency and efficiency for processing color image sequences. This dissertation presents the impact of CAX on processing performance and on both area and energy efficiency for color imaging applications in three major processor architectures: dynamically scheduled (superscalar), statically scheduled (very long instruction word, VLIW), and embedded single instruction multiple data (SIMD) array processors. Unlike typical multimedia extensions, CAX obtains substantial performance and code density improvements through direct support for color data processing rather than depending solely on generic subword parallelism. In addition, the ability to reduce data format size reduces system cost. The reduction in data bandwidth also simplifies system design. In summary, CAX, coupled with the pixel-truncation technique, provides an efficient mechanism that meets the computational requirements and cost goals for future embedded multimedia products.

Handbook of Research on Multimedia Cyber Security

Handbook of Research on Multimedia Cyber Security PDF Author: Gupta, Brij B.
Publisher: IGI Global
ISBN: 179982702X
Category : Computers
Languages : en
Pages : 372

Get Book Here

Book Description
Because it makes the distribution and transmission of digital information much easier and more cost effective, multimedia has emerged as a top resource in the modern era. In spite of the opportunities that multimedia creates for businesses and companies, information sharing remains vulnerable to cyber attacks and hacking due to the open channels in which this data is being transmitted. Protecting the authenticity and confidentiality of information is a top priority for all professional fields that currently use multimedia practices for distributing digital data. The Handbook of Research on Multimedia Cyber Security provides emerging research exploring the theoretical and practical aspects of current security practices and techniques within multimedia information and assessing modern challenges. Featuring coverage on a broad range of topics such as cryptographic protocols, feature extraction, and chaotic systems, this book is ideally designed for scientists, researchers, developers, security analysts, network administrators, scholars, IT professionals, educators, and students seeking current research on developing strategies in multimedia security.

Energy Efficient and Reliable Embedded Nanoscale SRAM Design

Energy Efficient and Reliable Embedded Nanoscale SRAM Design PDF Author: Bhupendra Singh Reniwal
Publisher: CRC Press
ISBN: 100098513X
Category : Technology & Engineering
Languages : en
Pages : 221

Get Book Here

Book Description
This reference text covers a wide spectrum for designing robust embedded memory and peripheral circuitry. It will serve as a useful text for senior undergraduate and graduate students and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discusses low-power design methodologies for static random-access memory (SRAM) Covers radiation-hardened SRAM design for aerospace applications Focuses on various reliability issues that are faced by submicron technologies Exhibits more stable memory topologies Nanoscale technologies unveiled significant challenges to the design of energy- efficient and reliable SRAMs. This reference text investigates the impact of process variation, leakage, aging, soft errors and related reliability issues in embedded memory and periphery circuitry. The text adopts a unique way to explain the SRAM bitcell, array design, and analysis of its design parameters to meet the sub-nano-regime challenges for complementary metal-oxide semiconductor devices. It comprehensively covers low- power-design methodologies for SRAM, exhibits more stable memory topologies, and radiation-hardened SRAM design for aerospace applications. Every chapter includes a glossary, highlights, a question bank, and problems. The text will serve as a useful text for senior undergraduate students, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. Discussing comprehensive studies of variability-induced failure mechanism in sense amplifiers and power, delay, and read yield trade-offs, this reference text will serve as a useful text for senior undergraduate, graduate students, and professionals in areas including electronics and communications engineering, electrical engineering, mechanical engineering, and aerospace engineering. It covers the development of robust SRAMs, well suited for low-power multi-core processors for wireless sensors node, battery-operated portable devices, personal health care assistants, and smart Internet of Things applications.

System-Level Design Techniques for Energy-Efficient Embedded Systems

System-Level Design Techniques for Energy-Efficient Embedded Systems PDF Author: Marcus T. Schmitz
Publisher: Springer
ISBN: 0306487365
Category : Computers
Languages : en
Pages : 194

Get Book Here

Book Description
System-Level Design Techniques for Energy-Efficient Embedded Systems addresses the development and validation of co-synthesis techniques that allow an effective design of embedded systems with low energy dissipation. The book provides an overview of a system-level co-design flow, illustrating through examples how system performance is influenced at various steps of the flow including allocation, mapping, and scheduling. The book places special emphasis upon system-level co-synthesis techniques for architectures that contain voltage scalable processors, which can dynamically trade off between computational performance and power consumption. Throughout the book, the introduced co-synthesis techniques, which target both single-mode systems and emerging multi-mode applications, are applied to numerous benchmarks and real-life examples including a realistic smart phone.

Energy Efficient Microprocessor Design

Energy Efficient Microprocessor Design PDF Author: Thomas D. Burd
Publisher: Springer Science & Business Media
ISBN: 1461508754
Category : Technology & Engineering
Languages : en
Pages : 365

Get Book Here

Book Description
This volume starts with a description of the metrics and benchmarks used to design energy-efficient microprocessor systems, followed by energy-efficient methodologies for the architecture and circuit design, DC-DC conversion, energy-efficient software and system integration.

Energy Efficient Hardware-Software Co-Synthesis Using Reconfigurable Hardware

Energy Efficient Hardware-Software Co-Synthesis Using Reconfigurable Hardware PDF Author: Jingzhao Ou
Publisher: CRC Press
ISBN: 1584887427
Category : Computers
Languages : en
Pages : 225

Get Book Here

Book Description
Rapid energy estimation for energy efficient applications using field-programmable gate arrays (FPGAs) remains a challenging research topic. Energy dissipation and efficiency have prevented the widespread use of FPGA devices in embedded systems, where energy efficiency is a key performance metric. Helping overcome these challenges, Energy Efficient

Applied Reconfigurable Computing

Applied Reconfigurable Computing PDF Author: Stephan Wong
Publisher: Springer
ISBN: 3319562584
Category : Computers
Languages : en
Pages : 338

Get Book Here

Book Description
This book constitutes the refereed proceedings of the 13th International Symposium on Applied Reconfigurable Computing, ARC 2017, held in Delft, The Netherlands, in April 2017. The 17 full papers and 11 short papers presented in this volume were carefully reviewed and selected from 49 submissions. They are organized in topical sections on adaptive architectures, embedded computing and security, simulation and synthesis, design space exploration, fault tolerance, FGPA-based designs, neural neworks, and languages and estimation techniques.